基于FPGA的高频时钟的分频和分配设计

  • 名称:基于FPGA的高频时钟的分频和分配设计
  • 类型:EDA/PLD
  • 授权方式:免费版
  • 更新时间:09-11 21:14:32
  • 下载要求:无需注册
  • 下载次数:6517
  • 语言简体中文
  • 大小:1.26 MB
  • 推荐度:2 星级
《基于FPGA的高频时钟的分频和分配设计》简介

标签:eda技术,
介绍了为PET(正电子发射断层扫描仪)的前端电子学模块提供时间基准而设计的一种新型高频时钟扇出电路。该电路利用FPGA芯片来实现对高频时钟的分频与分配,并用LVDS传输标准对生成的多路时钟信号进行传输,从而最大程度地减少了输出各路时钟之间的延时偏差,同时利用低压差分信号的传输特性增强了信号的抗干扰能力。文章给出了采用VHDL语言编写的时钟电路程序代码。, 大小:1.26 MB
Tag:EDA/PLDeda技术EDA/PLD